Page 1
Table of Contents
IEEE International Conference on Computer Design (ICCD 2006)
Copyright............................................................................................................................................ iv
Welcome Letter................................................................................................................................. xii
Organizing Committee .................................................................................................................... xiv
Program Committee ..........................................................................................................................xv
Additional Reviewers...................................................................................................................... xvii
Keynote Addresses
Computer Architecture in the Many-Core Era.................................................................................................... 1
Bill Dally, Stanford University
Scaling Manufacturability Software to Thousands of Processors....................................................................... 2
Fabio Angelillis, Synopsys Inc.
Session 1
Session 1.1 Microarchitecture Optimization
Chair: Tejas Karkhanis, AMD
Long-term Performance Bottleneck Analysis and Prediction............................................................................. 3
Fei Gao and Suleyman Sair
Dynamic Code Value Specialization Using the Trace Cache Fill Unit............................................................. 10
Weifeng Zhang, Steve Checkoway, Bradley Calder and Dean Tullsen
Fast, Performance-Optimized Partial Match Address Compression for Low-Latency On-Chip Address
Buses ................................................................................................................................................................ 17
Jiangjiang Liu, Krishnan Sundaresan and Nihar Mahapatra
Joint Performance Improvement and Error Tolerance for Memory Design Based on Soft Indexing............... 25
Shuo Wang and Lei Wang
A Low Power Highly Associative Cache for Embedded Systems.................................................................... 31
Chuanjun Zhang
Session 1.2 Timing Analysis
Chair: Florentin Dartu, Synopsys
On the Improvement of Statistical Timing Analysis......................................................................................... 37
Rajesh Garg, Nikhil Jayakumar and Sunil Khatri
FA-STAC: A Framework for Fast and Accurate Static Timing Analysis with Coupling................................. 43
Debasish Das, Ahmed Shebaita, Hai Zhou, Yehea Ismail and Kip Killpack
Reduction of Crosstalk Pessimism using Tendency Graph Approach.............................................................. 50
Murthy Palla, Klaus Koch, Jens Bargfrede, Manfred Glesner and Walter Anheier
Statistical Analysis of Power Grid Networks Considering Lognormal Leakage Current Variations
with Spatial Correlation .................................................................................................................................... 56
Ning Mi, Jeffrey Fan and Sheldon Tan

Page 2
Session 1.3 Advanced Circuits and Interconnections
Chair: Srinivas Raghvendra, Synopsys
RasP: An Area-efficient, On-chip Network ...................................................................................................... 63
Simon Hollis and Simon W. Moore
Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire
Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects............................................................. 70
Yasuhiro Ogasahara, Masanori Hashimoto and Takao Onoye
CMOS Comparators for High-Speed and Low-Power Applications................................................................ 76
Eric Menendez, Dumezie Maduike, Rajesh Garg and Sunil Khatri
A Reconfigurable CAM Architecture for Network Search Engines................................................................. 82
Mehrdad Nourani, Deepak Vijayasarathi and Poras Balsara
Delay and Area Efficient First-level Cache Soft Error Detection and Correction............................................ 88
Karl Mohr and Lawrence Clark
Session 2
Session 2 Special Session on Nano Technology (I)
Chair: Pranav Ashar, Real Intent Inc.
Automated Design of Microfluidics-Based Biochips: Connecting Biochemistry to Electronics CAD............ 93
Krishnendu Chakrabarty
Session 3
Session 3.1 Technology-Aware Design
Chair: Suleyman Sair, North Carolina State University
Fast Speculative Address Generation and Way Caching for Reducing L1 Data Cache Energy..................... 101
Dan Nicolaescu, Babak Salamat, Alex Veidenbaum and Mateo Valero
Customizable Fault Tolerant Caches for Embedded Processors.................................................................... 108
Subramanian Ramaswamy and Sudhakar Yalamanchili
Reduce Register Files Leakage Through Discharging Cells........................................................................... 114
Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang and Youtao Zhang
Efficient Transient-Fault Tolerance for Multithreaded Processors using Dual-Thread Execution................. 120
Yi Ma and Huiyang Zhou
Session 3.2 Multiprocessors and Systems-on-Chip
Chair: Huiyang Zhou, University of Central Florida
Dynamic Co-Processor Architecture for Software Acceleration on CSoCs ................................................... 127
Abhishek Mitra, Zhi Guo, Anirban Banerjee and Walid Najjar
Polaris: A System-Level Roadmap for On-Chip Interconnection Networks.................................................. 134
Vassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li and Li-Shiuan Peh

Page 3
A Performance and Power Analysis of WK-Recursive and Mesh Networks for Network-on-Chips............. 142
Dara Rahmati, Abbas Eslami Kiasari, Shaahin Hessabi and Hamid Sarbazi-Azad
Perceptron Based Consumer Prediction in Shared-Memory Multiprocessors................................................ 148
Sean Leventhal and Manoj Franklin
Session 3.3 Robust and Low-Power Design Styles
Chair: Larry Clark, Arizona State University
A Design Approach for Fine-grained Run-Time Power Gating using Locally Extracted Sleep Signals........ 155
Kimiyoshi Usami and Naoaki Ohkubo
Design Methodology of Regular Logic Bricks for Robust Integrated Circuits............................................... 162
Kim Yaw Tong and Lawrence Pileggi
An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks.... 168
Sanjay Pant and David Blaauw
Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles ............................................... 174
Zhiyi Yu and Bevan Baas
Session 4
Session 4 Special Session on Interconnect
Chair: Kee Sup Kim, Intel
Scale in Chip Interconnect requires Network Technology ............................................................................. 180
Enno Wein
Interconnect Considerations For High Performance Network on Chip Designs............................................. 187
Uri Cummings
Addressing Multicore Communication Challenges Using NoC Technology ................................................. 188
Drew Wingard
Session 5
Session 5.1 Hardware and Software Scheduling Techniques
Chair: Jiangjiang Liu, Lamar University
Clustering-Based Microcode Compression..................................................................................................... 189
Edson Borin, Mauricio Breternitz Jr., Youfeng Wu and Guido Araujo
Improving Scalability and Complexity of Dynamic Scheduler through Wakeup-Based Scheduling............. 197
Kuo-Su Hsiao and Chung-Ho Chen
An Enhancement for a Scheduling Logic Pipelined over two Cycles............................................................. 203
Ruben Gran, Enric Morancho, Angel Olive and Jose M. Llaberia
Session 5.2 Nanoscale Modeling + Synthesis
Chair: Azita Emami, Columbia University
Steady and Transient State Analysis of Gate Leakage Current in Nanoscale CMOS Logic Gates ................ 210
Saraju Mohanty and Elias Kougianos

Page 4
Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI ........ 216
Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam and Kaushik Roy
Interconnect Matching Design Rule Inferring and Optimization through Correlation Extraction.................. 222
Andrew Kahng and Rasit Topaloglu
Session 5.3 Power Issues in Test
Chair: Rob Aitken, ARM
Power-Constrained SOC Test Schedules through Utilization of Functional Buses........................................ 230
Fawnizu Azmadi Hussin, Tomokazu Yoneda, Alex Orailoglu and Hideo Fujiwara
RTL Scan Design for Skewed-Load At-speed Test under Power Constraints................................................ 237
Ho Fai Ko and Nicola Nicolici
Power Droop Testing ...................................................................................................................................... 243
Ilia Polian, Alejandro Czutro, Sandip Kundu and Bernd Becker
A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation..................... 251
Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Yuta Yamato, Seiji Kajihara, Laung-Terng Wang and
Kewal Saluja
Session 6
Session 6 Special Session on Hardware Equivalence
Chair: Carl Pixley, Synopsys
Scalable Sequential Equivalence Checking across Arbitrary Design Transformations.................................. 259
Jason Baumgartner
,
Hari Mony
,
Viresh Paruthi
,
Robert Kanzelman
and
Geert Janssen
Seqver : A Sequential Equivalence Verifier for Hardware Designs ............................................................... 267
Daher Kaiss, Silvian Goldenberg, Ziyad Hanna and Zurab Khasidashvili
High-Level vs. RTL Combinational Equivalence: An Introduction ............................................................... 274
Alan Hu
Session 7
Session 7.1 Functional Verification---Advances and Applications
Chair: Jason Baumgartner, IBM
Implicit Search-Space Aware Cofactor Expansion: A Novel Preimage Computation Technique.................. 280
Kameshwar Chandrasekar and Michael Hsiao
Requirements and Concepts for Transaction Level Assertions....................................................................... 286
Wolfgang Ecker, Volkan Esen, Michael Hull, Thomas Steininger and Michael Velten
Adding Debug Enhancements to Assertion Checkers for Hardware Emulation and Silicon Debug.............. 294
Marc BoulȨ, Jean-Samuel Chenard and Zeljko Zilic
Simulation-based Functional Test Justification Using a Boolean Data Miner................................................ 300
Charles H.-P. Wen, Onur Guzey and Li-C. Wang

Page 5
Session 7.2 Application Specific Processing Elements
Chair: Jamil Kawa, Synopsys
FPGA Implementation of High Speed FIR Filters Using Add and Shift Method........................................... 308
Shahnam Mirzaei, Anup Hosangadi and Ryan Kastner
FPGA-based Design of a Large Moduli Multiplier for Public-Key Cryptographic Systems ......................... 314
Osama Al-Khaleel, Chris Papachristou, Frank Wolff and Kiamal Pekmestzi
Split-Row: A Reduced Complexity, High Throughput LDPC Decoder Architecture .................................... 320
Tinoosh Mohsenin and Bevan Baas
An Efficient, Scalable Hardware Engine for Boolean SATisfiability............................................................. 326
Mandar Waghmode, Kanupriya Gulati, Sunil Khatri and Weiping Shi
Session 7.3 Physical Design
Chair: Saurabh Adya, Synplicity
Power/Ground Supply Network Optimization for Power-Gating................................................................... 332
Hailin Jiang and Malgorzata Marek-Sadowska
A Pattern Generation Technique for Maximizing Power Supply Currents..................................................... 338
Kunal Ganeshpure, Alodeep Sanyal and Sandip Kundu
Partial Functional Manipulation Based Wirelength Minimization ................................................................. 344
Avijit Dutta and David Z. Pan
Iterative-Constructive Standard Cell Placer for High Speed and Low Power ................................................ 350
Sungjae Kim and Eugene Shragowitz
Session 8
Session 8.1 Design Techniques and Methods
Chair: Mauricio Breternitz Jr., Intel
Generic Architecture Description for Retargetable Compilation and Synthesis of Application-Specific
Pipelined IPs.................................................................................................................................................... 356
Bita Gorjiara, Mehrdad Reshadi and Daniel Gajski
Assertion-Based Microarchitecture Design for Improved Fault Tolerance .................................................... 362
Vimal Reddy, Ahmed Al-Zawawi and Eric Rotenberg
High-Speed Factorization Architecture for Soft-Decision Reed-Solomon Decoding..................................... 370
Xinmiao Zhang
Session 8.2 System On Chip Design
Chair: Roozbeh Jafari, UC Berkeley
Guiding Architectural SRAM Models ............................................................................................................ 376
Banit Agrawal and Timothy Sherwood
A System-level Network-on-Chip Simulation Framework Integrated with Low-level Analytical Models.... 383
Jinwen Xi and Peixin Zhong

Page 6
Reliability Support for On-Chip Memories Using Networks-on-Chip........................................................... 389
Federico Angiolini, David Atienza, Srinivasan Murali, Luca Benini and Giovanni De Micheli
Task Merging for Dynamic Power Management of Cyclic Applications in Real-Time Multiprocessor
Systems..................................................................................................................................................... 397
Qinru Qiu, Shaobo Liu and Qing Wu
Session 8.3 Power-Efficient Systems
Chair: Dan Sorin, Duke University
A Capacity Co-allocation Configurable Cache for Low Power Embedded Systems...................................... 405
Chuanjun Zhang
System-Level Energy Modeling for Heterogeneous Reconfigurable Chip Multiprocessors.......................... 411
Xiaofang Wang, Sotirios Ziavras and Jie Hu
Improving Power and Data Efficiency with Threaded Memory Modules...................................................... 417
Frederick A. Ware and Craig Hampel
Session 9
Session 9.1 Improving Test Quality
Chair: Yervant Zorian, Virage Logic Corp.
A New Class of Sequential Circuits with Acyclic Test Generation Complexity............................................ 425
Chia Yee Ooi and Hideo Fujiwara
Efficient Testing of RF MIMO Transceivers Used in WLAN Applications .................................................. 432
Erkan Acar and Sule Ozev
A Theory of Error-Rate Testing...................................................................................................................... 438
Shideh Shahidi and Sandeep Gupta
Generating Compact Robust and Non-Robust Tests for Complete Coverage of Path Delay Faults Based on
Stuck-at Tests.................................................................................................................................................. 446
Dong Xiang, Kaiwei Li, Hideo Fujiwara and Jiaguang Sun
Session 9.2 Architectural Synthesis
Chair: Anup Hosangadi, Cadence
Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach................................... 452
Hwisung Jung and Massoud Pedram
Design and Implementation of Software Objects in Hardware....................................................................... 458
Fu-Chiung Cheng and Hung-Chi Wu
An Accurate Energy Estimation Framework for VLIW Processor Cores ...................................................... 464
Sourav Roy, Rajat Bhatia and Ashish Mathur

Page 7
Session 10
Session 10.1 Design Practice
Chair: Steve Keckler, University of Texas at Austin
Design and Implementation of the TRIPS Primary Memory System............................................................. 470
Simha Sethumadhavan, Robert McDonald, Rajagopalan Desikan, Doug Burger and Steve Keckler
Implementation and Evaluation of On-Chip Network Architectures.............................................................. 477
Paul Gratz, Changkyu Kim, Robert McDonald, Steve Keckler and Doug Burger
Microarchitecture and Performance Analysis of Godson-2 SMT Processor .................................................. 485
Zusong Li, Xianchao Xu, Weiwu Hu and Zhimin Tang
Patching Processor Design Errors................................................................................................................... 491
Satish Narayanasamy, Bruce Carneal and Brad Calder
Session 10.2 Architectural Support for Error Protection
Chair: Eric Rotenberg, NC State University
Choosing an Error Protection Scheme for a Microprocessor's L1 Data Cache............................................... 499
Nathan Sadler and Daniel Sorin
Architectural Support for Run-Time Validation of Control Flow Transfer.................................................... 506
Yixin Shi, Sean Dempsey and Gyungho Lee
Pesticide: Using SMT Processors to Improve Performance of Pointer-Bug Detection .................................. 514
Jin-Yi Wang, Yen-Shiang Shue, T N Vijaykumar and Saurabh Bagchi
Session 11
Session 11 Special Session on Nanotechnology (II)
Chair: Pranav Ashar, Real Intent Inc.
Trends and Future Directions in Nano Structure Based Computing and Fabrication..................................... 522
R. Iris Bahar
Author Index ....................................................................................................................................528